Module 2: Lithography   
  Lecture5: Advanced lithography-Production issues


Next we will learn about four important topics in practical lithography. They are are 1. Resolution , 2. Alignment (misalignment) 3. Depth of focus and 4. Partial fields
1. Resolution: The resolution indicates the smallest feature or  the spacing that can  be produced in a manufacturing process. If we say that the resolution is 100 nm for  a particular process ,we mean that we can make  any structure which is 100 nm size or  larger or we can produce  structures with a  spacing of  100 nm or more. It also means that we probably cannot make structures which are smaller in size (like 50 nanometer) or smaller in gap repeatedly in this process. It means that we do not have that ability.
What are the parameters that determine the resolution?
The resolution depends on the wavelength of the light used and another parameter called “Numerical Aperture”. The resolution also depends on the sophistication of machines, which is given by the parameter called “Raleigh constant”. For a given machine, the resolution basically  depends on  the wavelength  and numerical  aperture. Numerical aperture is a function of the diameter of the lens and the focal length of the lens and is given by .   
Resolution is related to the wavelength () and the numerical aperture (NA) as shown below.
 where k is the Raleigh constant.
So, to get better (i.e. smaller) resolution, we should decrease the wavelength of the light used and increase the numerical aperture. Can we keep reducing the wavelength and increasing the numerical aperture? The answer is no. This is because they affect another important parameter called depth of focus. 
Depth of focus (also called depth of field) indicates how much variations one can accept in the planarity of the incoming wafer. For example if the incoming wafer is perfectly planer then it is easy to use the lithography process. Usually the incoming wafer will have some variation in the topography (some ups and downs). The lithography process should be able to print the patterns correctly even when there is poor planarity. This ability is quantified by the term “depth of field”. If the depth of field is large, it means that even if the incoming wafer has lot of variations in the height, the lithography process can tolerate it. So, ideally we want the best depth of field (i.e. large depth of field) and the best stability to resolve (i.e. smallest resolution). Unfortunately the depth of focus is related to the wavelength  and the numerical aperture (NA).

So, if we make the resolution better by increasing the numerical aperture, we will lose depth of field lot more. Instead, if we make the resolution better by decreasing the wavelength, we will still lose some depth of field, but the loss is limited.  Thus we cannot get large depth of field and still the smallest resolution. One has to make a compromise. We will see some more details about the depth of focus in the later section.
Even the wavelength of the light used cannot be arbitrarily decreased. Originally visible light was used in lithography process. Later ultraviolet (UV) light in the wavelength region was used. At present extreme UV (EUV) is being introduced for lithography. When the wavelength of the light is reduced, new problems arise.
When EUV is used, almost all the materials absorb the light and hence lens cannot be used. Reflective mirrors (concave mirrors) made of special materials must be used. When X-Rays are used, it penetrates almost all materials and hence cannot be easily used. Thus many litho processes use light of 193 nm wavelength, as of 2010.
Now given a wavelength, how can we improve the resolution?  There are certain techniques called resolution enhancement technique (RET). We will consider three such techniques: one is optical proximity correction (OPC), second is anti reflective coating (ARC) and the third is phase shift mask (PSM).
A. OPC or Optical Proximity Correction :  Proximity means ‘nearness’ or something in the vicinity. If we consider a metal line to be patterned in a particular location, the presence of a line nearby will affect the optical behavior.  If we want to print two lines next to each other in one case, and print one line with no other line near it in another case, then there is some difference in the behavior of these two cases. Optical proximity correction is a method to adjust the layout so that the differences are accommodated and the printing occurs as planned.  We will illustrate this with an example.



Figure 2.9 a and b. Diffraction effects


Figure 2.9a shows what should happen if diffraction effects are absent. This is marked as “ideal”.  If we pass light through the mask, then the light should pass through the openings (i.e. transparent areas) and form an image on  the wafer with exactly the same size and shape. In reality, the light will not form the parallel line. There is diffraction and hence the image on the wafer will be different compared to the image on the mask. This is shown in figure 2.9 b and is marked as “real”. Further, the image will also change more if there are neighboring lines. Thus, the presence or absence of neighboring lines makes a difference. Even if there is no other line nearby, the image will not be exactly the same as the one in the mask.
In the case of line without any neighbor, called isolated line or iso line, the diffraction effects may make the image bit smaller than planned. For example, if the mask contains 100 nm line, the image on the wafer may be only 90 nm. So, in order to print 100 nm line on the wafer, the mask can be made with 110 nm wide line.  Please note that in this example, we are assuming that the mask is 1X mask and not the usual 4X mask. In case of a 4X mask, the explanation will be as follows. If we use 400 nm wide line in the mask, the ideal lithographic process will produce 100 nm wide image on the wafer. But the actual image may be 90 nm due to diffraction. Hence, to produce 100 nm image on the wafer, the mask may be made with 440 nm wide line. This method is called biasing and is one part of OPC.  This is shown in figure 2.10 a and b. Figure 2.10 a, on the left side, is the layout before OPC and the figure 2.10 b, on the right side, is the layout after OPC. Notice the increase in size of some of the lines. Also notice that the left most line, which is already large, is not altered. Thus, not all the lines are altered by OPC.

 


Figure 2.10 a and b. Biasing in layout


But we cannot just make all the lines larger and expect that everything will print correctly. A few more modifications are necessary.  Depending on the method used to make the corrections, OPC can be classified as “rule based” or “model based”. Rule based OPC is somewhat empirical. For example, one rule may say that “If there are two lines separated by 200 nm, then increase the width by 10 nm. If they are separated by 300 nm, increase the width by 5 nm” etc. This is relatively simple and is reasonably effective. However, when one tries to make very complicated chips, this method is not very effective.
“Model based OPC” actually models how the light will travel and how diffraction will alter the image, over the entire mask .It is very computationally intensive. It can run on very powerful computers for many days for a single layer of mask. But if the modeling is done correctly, then the results are better than what one would obtain from rule based OPC.
 
A few examples of the changes made by OPC are shown in the figures 2.11a and 2.11b. Note that the width is increased a little and the line-end is extended a bit more.



Figure 2.11 a and b. Example structures in layout  (a) before OPC and (b) after OPC

The figure 2.12 a and b  show a T shaped structure before and after OPC respectively. If one actually makes a mask with the T shaped structure without OPC,  then the structure on the wafer will appear somewhat like the figure 2.12 c. i.e. it will cause “necking” or reduction in the neck of the T junction

 


Figure 2.12 a, b and c.  T junction in layout (a) before OPC, (b) after OPC and (c) result of printing on wafer, without doing OPC in the layout.


In order to ensure that a real T structure is obtained on the wafer, one needs to add little bit of squares near the  T  junction, as shown in fig 2.12 b. Sometimes these are referred to as “dog ears”.  Thus we add dog-ears to the T junction so that it will actually print correctly on the wafer. Generally one needs to increase or decrease the width and add the dog ears. Thus, fig 2.13 a shows the ideal pattern that we want on the wafer on the left side and the figure 2.13 b on the right shows the layout after biasing and adding dog-ears, so that we will finally get the correct pattern on the wafer.

 



Figure 2.13 a and b. Adjacent T junctions in the layout (a) before OPC and (b) after OPC


One point that we need to remember is that increase in the width is not the same as enlarging the layout. Here when the width is increased, the space gets reduced.
The above illustrations are simplified version of the OPC process so that one can understand OPC. If one looks at the real mask before and after OPC, there will be a lot more changes. However, the basics are what we have seen here. One can search in the internet (for example in the web sites of companies like IBM or Intel) in order to gain some idea of  what a mask looks like before and after OPC. 
In the lithography process, the presence of one line will affect the printing of another line, if the distance between the lines is within one micron.  If the distance is more than one micron, the presence or absence of a line will not make any difference. That is the effect is felt only up to one micron in lithography process, whereas if one looks at few other processes, the effect can be felt even further. For example, in a process called chemical mechanical planarization, the length scale where one feature affects the process of another, can be as high as mm. In these cases, the layout is again altered to account for these non-idealities. Techniques such as slotting and introducing dummy features are used to account for non-idealities in CMP. We will see those details in the “removal techniques” chapter.

B. Anti Reflective Coating: The second resolution enhancing techniques or RET is the application of anti reflective coating. This also called ARC or arc. During the lithographic process, we saw that a thin layer of photo resist is applied on the top of the wafer and light is shone from the top, through the mask. Some of the light will be reflected from the top of the film and some of the light will pass through the film. After passing through the film, a part of the light will again be reflected on the wafer surface .The incoming light and the reflected light within the film can form what is known as a standing wave. Essentially, the light reflected from the wafer will interfere with the light coming from the top. This will distort the image, and hence we will not get the exact image on the mask.
In order to overcome this problem, the following procedure is used. First, on the top of the wafer, a film made of a material called ARC or anti reflective coating is applied. On top of ARC, the normal photo resist is applied. Now, when the light comes from the top, it will pass through the photo resist and then through the ARC. Then it will fall on the wafer. Most of the light will be absorbed by the photo resist, but some will not be absorbed. When it passes through this ARC, ARC will ensure that the light will not get reflected from the bottom of the wafer. This way there will be any interference and there will not be any standing waves.  The animation in figure 2.10 shows the lithography process with ARC.

 

Content on this page requires a newer version of Adobe Flash Player.

Get Adobe Flash player

Figure 2.14. Anti Reflective Coating in litho process

 

C Phase Shift Mask: The third RET is called phase shift mask or PSM. Earlier we saw that OPC can be used to account for diffraction to some extent. However, this trick can work only up to some extent. When the space between two features or two lines becomes very small, OPC will not work effectively. What is meant by very small? When these spaces and the widths are similar to the wavelength of the light used, then we can say that it is very small. For example, visible light is in the wavelength of 400 nm to 700 nm. Ultraviolet (UV) light is of wavelength less than 400 nm. In the microelectronic industry, one currently makes chips of less than hundred nanometer size. So, naturally, one cannot use visible light for photolithographic process. Even when UV is used, the usual wavelength is about 193 nm. The electromagnetic waves in the range of 30 or 40 nm are called extreme UV or EUV.  At present, (in the beginning of 2010), companies are creating chips with features of 65 nanometer size using light of 193 nanometer wavelength. This is possible only because they use phase shift mask (PSM).
What is a phase shift mask? How does it work?
First, we will look into the operation of a normal mask. Then we will see how the phase shift mask is constructed and how it works. Consider a normal mask and two openings, as shown in the animation in figure 2.15. Here we are assuming   that the mask and the wafer are of the same size. i.e. the mask is one-to-one mask or 1x mask. Even in the case of 4x or 5x masks, the arguments we make here will apply. However, it is easy to illustrate the issue in the 1x mask.

 

Content on this page requires a newer version of Adobe Flash Player.

Get Adobe Flash player


Figure 2.15. Normal mask. Diffraction effects


Remember that lights are electromagnetic waves. The electromagnetic field very close to the mask will be very close to ideal (blue rectangles). Ideally, if there were no diffraction, the light will come from the top and the mask feature and the wafer feature will be identical. But light will bend near the edges  and this is called diffraction. What happens is the “opening” on the wafer will be larger. This is shown by the curve which looks like ‘hills and valleys’.  The peaks correspond to the openings and the valleys correspond to the blocked regions.

When the space between the openings is very small, the valleys will be shallow (last part of the animation). Thus, the pattern printed on the wafer will be very different from the pattern on the mask.  When the gap between the two openings is very small in the mask, the corresponding openings on the wafer will begin to merge. We will not get two different lines but we will get one large line on the wafer. Here, even if OPC is used, we will not be able to get two different lines.
In the animation, we see that when the light comes through the mask, the phases of the light on the left side and on the right side opening are the same. Electromagnetic waves (including light) are a transverse waves and hence they have these two properties. One is the amplitude and the other is the phase. The intensity of the light is proportional to the square of amplitude. Whenever the amplitude is maximum, intensity   will be maximum, and when it is zero it will be zero. When the amplitude goes to the negative, the intensity will be positive because we are taking the square of the amplitude. The key point to note here is that in both openings, the electromagnetic wave (i.e. light) will have the same phase.
Now, we want to use the same light (i.e. same wavelength) and still get this small gap printed on the wafer correctly. In order to do that, we can use phase shift mask. Look at the animation in figure 2.16. We see there are still two openings separated by the same gap, but in one of the openings,  there is an additional material. This additional material is there to change the phase of the light. In this case, the phase of the light passing out of the first opening and the phase  of the light passing out of the second opening at the same point they will have 180 oor  p radians phase difference . Thus, the phase of the light in one of this is shifted or changed.

 

Content on this page requires a newer version of Adobe Flash Player.

Get Adobe Flash player


Figure 2.16. Phase Shift Mask principle


Here also the light spreads and a rectangular pattern is turned into a smeared (curved line) pattern, but the phases of the two waves are different. So, the amplitude of the combined electromagnetic wave will go through zero in between these two openings. Whenever the phase is positive in the left opening, the phase will be negative in the right opening and vice versa.  Since the amplitude passes through zero, the intensity (which is proportional to the square of amplitude) will also pass through zero. This way, we ensure that the intensity will not become too much in between the openings. This is how the phase shift mask works.
We will summarize the three different RET techniques. One is OPC (Optical Proximity correction). It makes changes to the layout and then correspondingly in the mask.  The second arc or ARC   makes change in the process. Before we coat the wafer with photo resist, we coat the wafer with anti reflective coating. The third technique is PSM which makes changes in the mask .Original layout is first generated and then modified to indicate wherever the change in phase is appropriate. The mask is made accordingly.

 

.